Ring Oscillator คืออะไร: การทำงานและการใช้งาน

ลองใช้เครื่องมือของเราเพื่อกำจัดปัญหา





ออสซิลเลเตอร์ใช้เพื่อสร้างสัญญาณที่มีความถี่เฉพาะและสิ่งเหล่านี้มีประโยชน์สำหรับการซิงโครไนซ์กระบวนการคำนวณในระบบดิจิทัล เป็นวงจรอิเล็กทรอนิกส์ที่สร้างรูปคลื่นต่อเนื่องโดยไม่มีสัญญาณอินพุตใด ๆ ออสซิลเลเตอร์แปลงสัญญาณ dc เป็นรูปแบบสัญญาณสลับที่ความถี่ที่ต้องการ ออสซิลเลเตอร์มีหลายประเภทขึ้นอยู่กับส่วนประกอบที่ใช้ในวงจรอิเล็กทรอนิกส์ ออสซิลเลเตอร์ประเภทต่างๆคือ ออสซิลเลเตอร์สะพานเวียนนา, ออสซิลเลเตอร์กะระยะ RC, ออสซิลเลเตอร์ Hartley , ออสซิลเลเตอร์ควบคุมแรงดันไฟฟ้า, Colpitts ออสซิลเลเตอร์ , วงแหวนออสซิลเลเตอร์, กันน์ออสซิลเลเตอร์และ คริสตัลออสซิลเลเตอร์ ฯลฯ ในตอนท้ายของบทความนี้เราจะได้รู้ว่าแหวนออสซิลเลเตอร์คืออะไร รากศัพท์ เค้าโครงสูตรความถี่และการใช้งาน

Ring Oscillator คืออะไร?

คำจำกัดความของวงแหวนออสซิลเลเตอร์คือ“ อินเวอร์เตอร์จำนวนคี่ที่เชื่อมต่อในรูปแบบอนุกรมโดยมีการตอบรับเชิงบวกและเอาต์พุตจะแกว่งระหว่างแรงดันไฟฟ้าสองระดับทั้ง 1 หรือศูนย์เพื่อวัดความเร็วของกระบวนการ แทนอินเวอร์เตอร์เราสามารถกำหนดได้โดยใช้ NOT gates ด้วย ออสซิลเลเตอร์เหล่านี้มีอินเวอร์เตอร์ 'n' จำนวนคี่ ตัวอย่างเช่นถ้าออสซิลเลเตอร์นี้มี 3 อินเวอร์เตอร์ จากนั้นเรียกว่าออสซิลเลเตอร์วงแหวนสามขั้นตอน หากอินเวอร์เตอร์นับเป็นเจ็ดแสดงว่าเป็นออสซิลเลเตอร์วงแหวนเจ็ดเวที จำนวนขั้นตอนของอินเวอร์เตอร์ในออสซิลเลเตอร์นี้ส่วนใหญ่ขึ้นอยู่กับความถี่ที่เราต้องการสร้างจากออสซิลเลเตอร์นี้




วงแหวนออสซิลเลเตอร์ไดอะแกรม

วงแหวนออสซิลเลเตอร์ไดอะแกรม

การออกแบบวงแหวนออสซิลเลเตอร์สามารถทำได้โดยใช้อินเวอร์เตอร์สามตัว หากใช้ออสซิลเลเตอร์แบบขั้นตอนเดียวการสั่นและอัตราขยายจะไม่เพียงพอ หากออสซิลเลเตอร์มีอินเวอร์เตอร์สองตัวการสั่นและอัตราขยายของระบบจะมากกว่าออสซิลเลเตอร์แบบวงแหวนขั้นตอนเดียวเล็กน้อย ดังนั้นออสซิลเลเตอร์สามขั้นตอนนี้จึงมีอินเวอร์เตอร์สามตัวที่เชื่อมต่อกันในรูปแบบของอนุกรมกับระบบตอบรับเชิงบวก ดังนั้นความผันผวนและการได้รับของระบบจึงเพียงพอ นี่คือเหตุผลที่ต้องเลือกออสซิลเลเตอร์สามขั้นตอน



“ ริงออสซิลเลเตอร์ใช้อินเวอร์เตอร์จำนวนคี่เพื่อให้ได้กำไรมากกว่าแอมพลิฟายเออร์แบบกลับด้านเดียว อินเวอร์เตอร์ให้สัญญาณอินพุตล่าช้าและหากจำนวนอินเวอร์เตอร์เพิ่มขึ้นความถี่ของออสซิลเลเตอร์จะลดลง ดังนั้นความถี่ของออสซิลเลเตอร์ที่ต้องการจึงขึ้นอยู่กับจำนวนขั้นตอนอินเวอร์เตอร์ของออสซิลเลเตอร์”

ความถี่ของสูตรการสั่นสำหรับออสซิลเลเตอร์นี้คือ

แหวนออสซิลเลเตอร์ความถี่

แหวนออสซิลเลเตอร์ความถี่

ที่นี่ T = หน่วงเวลาสำหรับอินเวอร์เตอร์เดี่ยว


n = จำนวนอินเวอร์เตอร์ในออสซิลเลเตอร์

เค้าโครงแหวน Oscillator

แผนภาพทั้งสองข้างต้นกำลังแสดงรูปแบบของวงจรและสัญญาณเอาต์พุตสำหรับออสซิลเลเตอร์วงแหวน 3 ขั้นตอน ที่นี่ขนาด PMOS เป็นสองเท่าของ NMOS NMOS ขนาด 1.05 และ PMOS คือ 2.1

แหวน oscilator เลย์เอาต์

รูปแบบวงแหวนออสซิลเลเตอร์

จากค่าเหล่านี้ช่วงเวลาของแหวนออสซิลเลเตอร์สามขั้นตอนคือ 1.52ns ในช่วงเวลานี้เราสามารถพูดได้ว่าออสซิลเลเตอร์นี้สามารถผลิตสัญญาณที่มีความถี่ช่วง 657.8MHz ในการสร้างสัญญาณที่มีความถี่น้อยกว่านี้หมายความว่าเราควรเพิ่มระยะอินเวอร์เตอร์ให้กับออสซิลเลเตอร์นี้ ด้วยวิธีนี้ความล่าช้าจะเพิ่มขึ้นและความถี่ในการทำงานจะลดลง ตัวอย่างเช่นในการสร้างสัญญาณ 100MHz หรือน้อยกว่าสัญญาณความถี่ต้องเพิ่มจำนวนขั้นตอนอินเวอร์เตอร์ 20 ขั้นในออสซิลเลเตอร์นี้

แหวน-oscilator -output2

แหวน - ออสซิลเลเตอร์ - เอาต์พุต 2

รูปด้านล่างแสดงเค้าโครงวงแหวนออสซิลเลเตอร์ นี่คือออสซิลเลเตอร์ 71 ขั้นตอนเพื่อสร้างสัญญาณที่ความถี่ 27MHz อินเวอร์เตอร์ที่ใช้ในออสซิลเลเตอร์นี้เชื่อมต่อโดยใช้หน้าสัมผัส L1M1 และ PYL1 ด้วยหน้าสัมผัสนี้อินพุตและเอาต์พุตของอินเวอร์เตอร์จะเชื่อมต่อเข้าด้วยกัน และขา Vdd มีไว้เพื่อวัตถุประสงค์ในการเชื่อมต่อต้นทาง

แหวน oscilator เลย์เอาต์ 71 ขั้นตอน

วงแหวนออสซิลเลเตอร์เลย์เอาต์ 71 ขั้นตอน

Ring Oscillator โดยใช้ Transistor

วงแหวนออสซิลเลเตอร์คือการรวมกันของอินเวอร์เตอร์ที่เชื่อมต่อในรูปแบบอนุกรมที่มีการเชื่อมต่อแบบป้อนกลับ และเอาต์พุตของขั้นตอนสุดท้ายจะเชื่อมต่อกับออสซิลเลเตอร์เริ่มต้นอีกครั้ง ซึ่งสามารถทำได้ผ่านการใช้ทรานซิสเตอร์ด้วย รูปด้านล่างแสดงการปลูกถ่ายวงแหวนออสซิลเลเตอร์ด้วย ทรานซิสเตอร์ CMOS .

แหวนออสซิลเลเตอร์โดยใช้ทรานซิสเตอร์

แหวนออสซิลเลเตอร์โดยใช้ทรานซิสเตอร์

  • อินพุตสามารถมอบให้กับออสซิลเลเตอร์นี้ผ่านพิน 6 และพิน 14 ที่เชื่อมต่อกับ Vdd และพิน 7 ที่เชื่อมต่อกับกราวด์
  • C1, C2 และ C3 เป็นตัวเก็บประจุที่มีค่า 0.1uF
  • นี่คือพิน 14 เช่นควรได้รับแรงดันไฟฟ้า 3.3V
  • เอาต์พุตของออสซิลเลเตอร์นี้สามารถนำมาจากหลังพอร์ตพิน 12
  • ตั้งค่า Vdd เป็น 3.3V และตั้งความถี่เป็น 250Hz และตัวเก็บประจุ C1, C2 และ C3 จะวัดเวลาเพิ่มขึ้นและเวลาตกในแต่ละขั้นตอนเอาต์พุตของอินเวอร์เตอร์ สังเกตความถี่ของการสั่น
  • จากนั้นเชื่อมต่อ Vdd pin กับ 5V และทำซ้ำขั้นตอนข้างต้นและจดบันทึกเวลาหน่วงเวลาการแพร่กระจายและความถี่ของการสั่น
  • ทำซ้ำขั้นตอนที่มีระดับแรงดันไฟฟ้าหลายระดับจากนั้นเราจะเข้าใจได้ว่าหากแรงดันไฟฟ้าเพิ่มความล่าช้าของประตู (เวลาเพิ่มขึ้นและเวลาตก) ลดลง หากแรงดันไฟฟ้าลดลงความล่าช้าของประตูจะเพิ่มขึ้น

สูตรความถี่

ขึ้นอยู่กับการใช้จำนวนขั้นตอนของอินเวอร์เตอร์ใน ความถี่ของวงแหวนออสซิลเลเตอร์ สามารถหาได้จากสูตรต่อไปนี้ เวลาหน่วงของอินเวอร์เตอร์แต่ละตัวก็สำคัญเช่นกัน ความถี่การสั่นที่เสถียรสุดท้ายของออสซิลเลเตอร์นี้คือ

ที่นี่ n ระบุจำนวนขั้นตอนอินเวอร์เตอร์ที่ใช้ในออสซิลเลเตอร์นี้ T คือเวลาหน่วงของอินเวอร์เตอร์แต่ละขั้นตอน

ความถี่ของออสซิลเลเตอร์นี้ขึ้นอยู่กับระยะเวลาหน่วงและจำนวนขั้นตอนที่ใช้ในออสซิลเลเตอร์นี้เท่านั้น ดังนั้นเวลาหน่วงจึงเป็นตัวแปรที่สำคัญที่สุดในการค้นหาความถี่ออสซิลเลเตอร์

การใช้งาน

จำนวนน้อย แอพพลิเคชั่นของออสซิลเลเตอร์นี้ จะกล่าวถึงที่นี่ พวกเขาคือ,

  • สิ่งเหล่านี้ใช้เพื่อวัดผลของแรงดันและอุณหภูมิที่มีต่อ ชิปในตัว .
  • ในระหว่างการทดสอบเวเฟอร์แนะนำให้ใช้ออสซิลเลเตอร์เหล่านี้
  • ในเครื่องสังเคราะห์ความถี่ออสซิลเลเตอร์เหล่านี้ใช้ได้
  • เพื่อวัตถุประสงค์ในการกู้คืนข้อมูลในการสื่อสารข้อมูลแบบอนุกรมออสซิลเลเตอร์เหล่านี้มีประโยชน์
  • ใน เฟสล็อกลูป (PLL) VCO สามารถออกแบบได้โดยใช้ออสซิลเลเตอร์นี้

ถึง แหวนออสซิลเลเตอร์ ได้รับการออกแบบมาเพื่อสร้างความถี่ที่ต้องการในทุกสภาวะ ความถี่ของการสั่นขึ้นอยู่กับจำนวนสเตจและเวลาหน่วงของอินเวอร์เตอร์แต่ละสเตจ และผลของอุณหภูมิและแรงดันไฟฟ้าของออสซิลเลเตอร์นี้สามารถทดสอบได้ในห้าสภาวะ ในเงื่อนไขการทดสอบที่แตกต่างกันทั้งหมดหากอุณหภูมิเพิ่มขึ้นระยะเวลาของเอาต์พุตจะลดลงเมื่อเทียบกับค่าอุณหภูมิต่ำสุด เราจำเป็นต้องวิเคราะห์สัญญาณรบกวนเฟสและค่าความกระวนกระวายใจหากอุณหภูมิแตกต่างกันไป